Skip to content

airhdl Feature Requests

44 results found

  1. add version management

    The versions are tracked, however occasionally there is need to generate files from an earlier version, or roll back a set of register changes, possibly with the inclusion of labels.
    < the logical extension is branching and merging that is less typical in register maps than mainline code >

    2 votes
    Vote

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
    You have left! (?) (thinking…)
    How important is this to you?

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
  2. Support for IPXACT modifiedWriteValue elements

    This is mostly relevant when generating documentation. This would make it possible to document behavior of a register like ONETOCLEAR, ONETOSET, ONETOTOGGLE....

    2 votes
    Vote

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
    You have left! (?) (thinking…)
    How important is this to you?

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
  3. Support longer text in register overview graphic

    The visualization of the register fields arrays the text in a manner that renders it less than helpful unless all bit fields are shorter than 4 characters (except in the case of wider bit fields).

    One solution might be to rotate field names 90 degrees and situate them vertically.

    2 votes
    Vote

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
    You have left! (?) (thinking…)
    How important is this to you?

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
  4. Support for IPXACT readAction

    This is information about the register behaviour when read. A common special behaviour is "Clear on read" which is often used in Interrupt Status Registers.

    2 votes
    Vote

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
    You have left! (?) (thinking…)
    How important is this to you?

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
  5. Add functions for setting/getting register field values

    When writing testbenches, we often have to set/get the value of single fields within a variable representing the value of a register.

    Currently, the only way to do that is to use the <register><field>BITOFFSET and <register><field>BITWIDTH from the VHDL/SV packages:

    MemRegv(CTRLDURATIONBITWIDTH + CTRLDURATIONBITOFFSET - 1 downto CTRLDURATIONBITOFFSET) := "1000";

    I would be nice to have a "setregisterfield" function for that, which could take the register and field names as arguments:

    MemRegv := setregisterfield("CTRL", "DURATION", "1000", MemRegv);

    2 votes
    Vote

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
    You have left! (?) (thinking…)
    How important is this to you?

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
  6. Support of IPXACT 1685-2014 generation

    For supporting the IP-XACT envrionment of the lastest version.

    2 votes
    Vote

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
    You have left! (?) (thinking…)
    under review  ·  1 comment  ·  Admin →
    How important is this to you?

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
  7. Revent conflicting C header definitions

    I use several self created IP (Xilinx). They are have their individual AirHDL register maps. Some register names are very popular. For example most of the IP have a "Config" or "Status" register.

    If I import now the drivers of these IP into one C project, the corresponding #define start creating conflicts.

    This prevents me from using the defines (like array length) in the header files.

    It would be nice to have a possibility to prepend the defines with maybe the register_map name. (I could also rename all registers, but that seems quite hacky)

    Or are there other/better solutions to…

    1 vote
    Vote

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
    You have left! (?) (thinking…)
    0 comments  ·  Admin →
    How important is this to you?

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
  8. Create a mobile version of airhdl

    for use on smartphones or tablets

    1 vote
    Vote

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
    You have left! (?) (thinking…)
    0 comments  ·  Admin →
    How important is this to you?

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
  9. Make interrupt register outputs available to user logic

    Please consider making interrupt register outputs available to user logic. Currently, there is no easy way for the user logic to know when an interrupt status bit is cleared by the ISR. This is critical to our application, and we cannot use mask bits or other signals to achieve the same result.

    1 vote
    Vote

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
    You have left! (?) (thinking…)
    How important is this to you?

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
  10. Add an option to sort bit fields MSB:LSB

    Add a configuration option to display register bit fields sorted high to low, instead of low-to-high as is done now.
    The proposed option should affect both the editor and in the generated documentation.

    1 vote
    Vote

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
    You have left! (?) (thinking…)
    How important is this to you?

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
  11. Move field to another register

    Sometimes it's necessary to move a field from one register to another register.

    Currently, the only way to do that is to delete the field from the source register and to re-create it from scratch in the target register.

    It would be useful to have a function for that.

    1 vote
    Vote

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
    You have left! (?) (thinking…)
    under review  ·  0 comments  ·  Admin →
    How important is this to you?

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
  12. Allow adding shared register map(s) to a project

    Currently only register maps that you have created yourself can be added to a project. This is a suggestion to allow adding register maps that have been shared with you by another user to a project.

    1 vote
    Vote

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
    You have left! (?) (thinking…)
    0 comments  ·  Admin →
    How important is this to you?

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
  13. Support Address Gaps

    allow +register to start at the end of any address gap, showing existing gaps

    1 vote
    Vote

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
    You have left! (?) (thinking…)
    0 comments  ·  Admin →
    How important is this to you?

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
  14. Return magic value in case of read address miss

    when reading from an unmapped address location, optionally return a magic value like 0xDEADBEEF instead of an AXI error.

    1 vote
    Vote

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
    You have left! (?) (thinking…)
    0 comments  ·  Admin →
    How important is this to you?

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
  15. Unique Register Address when duplicating

    When duplicating a register, it would be nice to have the new available address offset filled in the new register instead of the offset of the original one.

    1 vote
    Vote

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
    You have left! (?) (thinking…)
    How important is this to you?

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
  16. Landing page

    It would be nice if one could choose its landing page (Dashboard, projects, or maps) in the user configuration

    1 vote
    Vote

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
    You have left! (?) (thinking…)
    0 comments  ·  Admin →
    How important is this to you?

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
  17. Would it be possible to merge a set of register maps in a single one?

    Maybe this is already possible with JSON upload. But in simple cases one would like to merge exixting maps in a single one by simply specifying the offset of one map respect to the other.

    1 vote
    Vote

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
    You have left! (?) (thinking…)
    0 comments  ·  Admin →
    How important is this to you?

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
  18. Self-clearing fields should be banned on read-only registers

    Self-clearing fields should be banned on read-only registers, since they don't make sense. In fact those fields are not cleared after read.
    The web interface could simply generate a DRC error.

    1 vote
    Vote

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
    You have left! (?) (thinking…)
    0 comments  ·  Admin →
    How important is this to you?

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
  19. add Software set, hardware clear register type

    Currently there is an hardware set, software clear type (interrupt)
    Adding a software set, hardware clear type would allow the 'arming' of hardware state machines by software, the bit can then be automatically cleared by hardware, ready to re-arm the state machine in future.

    1 vote
    Vote

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
    You have left! (?) (thinking…)
    0 comments  ·  Admin →
    How important is this to you?

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
  20. Add option to split register arrays and still create one single array output

    Add the ability to split register arrays up in equal parts and generate single array output.

    Ex.

    Register:
    | [7:0] | [7:0] | [7:0] | [7:0] |

    Each element in the array would be 8 bits long instead of 32, but still be apart of the same array.

    Current solution would generate 4 different array outputs.

    1 vote
    Vote

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
    You have left! (?) (thinking…)
    How important is this to you?

    We're glad you're here

    Please sign in to leave feedback

    Signed in as (Sign out)
  • Don't see your idea?

airhdl Feature Requests

Categories

Feedback and Knowledge Base